您当前的位置:首页 >  工作计划 >  财务工作计划 > 内容

采用FPGA的高频脉冲测量仪设计

材料写作网    时间: 2020-07-05 04:28:21     阅读:

摘 要:基于FPGA采用脉冲信号参数测试方法,可实现脉冲信号在幅度、频率、占空比、上升时间等参数的测量。利用该系统高速度、高精度的运算能力,实现脉冲信号参数的高速精密采样。并和单片机联络互通交换,提高了事后数据收集整理运算水平;可大大缩短检测时间,提高测量精度。样机实验证明,本测试系统可靠性好、精度高、误差率低, 满足设计要求。

关键词:FPGA 精密测试 脉冲信号

中图分类号: 文献标识码:A 文章编号:1672-3791(2018)10(b)-0018-02

伴随时代发展、电子科技对于脉冲信号依赖强度不断增大,其测量的精度与速度的需要程度也不断加大,特别对于无线电探测等设备的细微的脉冲信号的测量要求存在诸多新要求[1-4],现有脉冲信号测量方法只是基于传统示波器针对脉冲信号检测试验,测量结果参数难以满足全面、精密测量的需求。基于以上状况,采集脉冲信号参数研发较高精准程度的检测仪器有着重要的意义。设计思路主要是以STM32单片机(增强型)为重要支撑,利用该单片机的高性能计算能力,获取脉冲波动信号的幅度、占空比、额定频率、上升时间等参数,实现高速度、高准度的检测和计量,样机的测试数据达到了设计目的。

1 系统硬件设计

研发仪器的主要组成是:频率和幅值调理信号的电源电路、微型单片计算机、上升时间信号和占空比信号调理的电源电路、FPGA、显示器和脉冲信号发生器,如图1所示。

利用FPGA核心的数百兆赫兹的频率获取对脉冲信号频率、幅值、上升时间、占空比等数据的高速采集,并借助与单片机的高速联网互通信号传递,采用FPGA获取信息输入到单片机中去,之后将采集的信息数据整理筛选合成,再将测量到的结果显示在显示器上。

由于单片机使用是MSP430,其受25MHz的晶体指令然后驱动,指令周期可窄达40ns,这样就可以实现数据的高速处理。

占空比和频率调理电源电路(如图2)实际使用TLV3501高强速度对比,主要原理是接收收集波动的脉冲信号,整理成型0~3.3V区间的方波信号,输入FPGA之后,然后在闸门时间内,针对标准时钟信号和被测信号读取处理、检测计量。再依据获取的两个计数值,与已知的标准信号的频率对比,就可以计算出所需求频率数值。

为了增加该测试仪敏锐程度和频率的首尾两端的极值区间。这样就可以计算出所需测试信号的宽度,即我们假设将闸门时间t假定为1s,并规定由为FPGA提供1MHz的标准时钟信号,利用测量占空比所需测试信号...

== 试读已结束,如需继续阅读敬请充值会员 ==
本站文章均为原创投稿,仅供下载参考,付费用户可查看完整且有格式内容!
(费用标准:38元/2月,98元/2年,微信支付秒开通!)
升级为会员即可查阅全文 。如需要查阅全文,请 免费注册登录会员
《采用FPGA的高频脉冲测量仪设计.doc》
将本文的Word文档下载到电脑,方便收藏和打印
推荐度:

文档为doc格式

相关热搜

《采用FPGA的高频脉冲测量仪设计.doc》

VIP请直接点击按钮下载本文的Word文档下载到电脑,请使用最新版的WORD和WPS软件打开,如发现文档不全可以联系客服申请处理。

文档下载
VIP免费下载文档

浏览记录